您现在所在位置: 主页 > PP电子新闻中心 > 公司资讯

公司资讯

Company information

行业动态

Industry dynamics

常见问题

Common Problem

pp电子:半导体设备行业深度报告:市场再创新高,国产化替代空间广阔

发布日期:2024-04-28 13:48 浏览次数:

  炒股就看金麒麟分析师研报,权威,专业,及时,全面,助您挖掘潜力主题机会!

来源:未来智库

(报告出品方/作者:德邦证券,冯俊)

核心观点

全球半导体设备支出进入上升周期。5G、物联网、大数据、人工智能以及汽车电 子等新技术和新产品的应用,将带来庞大的半导体市场需求,行业将进入新一轮的 上升周期。半导体设备位于产业链的上游,其市场规模随着下游半导体的技术发展 和市场需求而波动。根据 SEMI 预测,2020 年全球半导体设备市场规模达创纪录 的 689 亿美元,同比增长 16%,2021 年将达 719 亿美元,同比增长 4.4%,2022 年仍旧保持增长态势,市场将达 761 亿美元,同比增长 5.8%。

半导体产业向中国转移,中国成为最大半导体设备市场。中国凭借低劳动力成本的 优势,不断引进半导体产业先进技术,加大半导体产业人才培养,逐步承接了半导 体低端封测和晶圆制造业务。随着全球电子化进程的开展,下游产业快速发展,不 断推动中国半导体产业持续兴旺。中国大陆半导体设备市场规模在全球的占比逐年 提升,SEMI 预计 2020 年中国大陆半导体设备市场规模将达 181 亿美元,同比增 长 34.6%,成为全球最大的半导体设备市场。在 2020 年晶圆厂密集的资本支出之 后,SEMI 预计中国大陆 2021 年半导体设备市场将小幅回落,市场规模为 168 亿 美元,同比下降 7%。

半导体设备市场为海外厂商垄断,国产设备企业奋起直追。2019 年国产半导体设 备销售额为 161.82 亿元,中国大陆 2019 年半导体设备市场规模 134.5 亿美元, 国产化率约 17%,具备较大国产替代空间。在当前美国持续加强技术和设备封锁 的情况下,半导体设备国产替代步伐正在加快。国产设备企业在政策和资金大力支 持下,在刻蚀、薄膜沉积、测试等多个领域不断取得突破。

国产刻蚀设备、薄膜沉积设备和测试设备有望成为半导体设备国产化先锋。中微公 司和分别在 CCP 和 ICP 刻蚀设备领域取得突破,部分产品已进入先进制 程生产线验证;北方华创在 PVD 领域实现了国产高端薄膜制备设备零的突破,设 备覆盖了 90-14nm 多个制程,沈阳拓荆 CVD 设备成功进入长江存储生产线。华峰 测控模拟测试机国内市占率已达 60%,后续 SOC 项目推进可能为公司带来新的增 长空间。

1. 半导体产业链解析

半导体指常温下导电性能介于导体与绝缘体之间的材料。半导体产品按照功 能区分可以分为集成电路、光电子器件、分立器件和传感器等四大类。其中集成 电路是半导体产业的核心,根据 WSTS 数据,2020 年集成电路市场规模占到了 半导体市场的 82%。

半导体产业链可按照主要生产过程进行划分,整体可分为上游中游下游。以 半导体中占比最高的集成电路产业为例,上游包括半导体材料、生产设备、EDA、 IP 核。EDA,即电子设计自动化(Electronics Design Automation),主要包括设 计工具和设计软件。IP 核(Intellectual Property Core)提供已经完成逻辑设计或 物理设计的芯片功能模块,通过授权允许客户将其集成在 IC 设计中。中游包括设 计、制造、封测三大环节。下游主要为半导体应用,主要包括 3C 电子、医疗、通 信、物联网、信息安全、汽车、新能源、工业等。

1.1. 半导体产业运作的两种模式:IDM 和垂直分工模式

半导体产业运作主要有两种模式,即IDM模式和垂直分工模式。如前文所述, 半导体整个制造过程主要包括芯片设计、晶圆制造和封装测试三大环节。所谓 IDM (Integrated Device Manufacture)模式,即由一个厂商独立完成芯片设计、制造 和封装三大环节,英特尔和三星是全球最具代表性的 IDM 企业。另一种模式为垂 直分工模式,即 Fabless(无晶圆制造的设计公司)+Foundry(晶圆代工厂)+OSAT(封装测试企业),Fabless 是指专注于芯片设计业务,只负责芯片的电路设计与 销售,将生产、测试、封装等环节外包的设计企业,代表企业有高通、英伟达、 AMD 等;Foundry 即晶圆代工厂,指只负责制造、封测的一个或多个环节,不负 责芯片设计,可以同时为多家设计公司提供服务的企业,代表企业有台积电、中 芯国际等。OSAT 指专门从事半导体封装和测试的企业。

在台积电成立以前,半导体行业只有 IDM 一种模式。IDM 模式的优势在于资 源的内部整合优势,以及具有较高的利润率。IDM 模式贯穿整个半导体生产流程, 不存在工艺流程对接问题,新产品从开发到面市的时间较短,且因为覆盖前端的 IC 设计和末端的品牌营销环节,具有较高的利润率水平。但其公司规模庞大、管 理成本和运营费用较高,同时半导体生产需要庞大的资本支出,使得行业内只有 极大的几家 IDM 企业能够生存。

半导体制造业具有明显的规模经济效应,扩大规模可以显著降低单位产品的 成本,提高企业竞争力,降低产品价格,垂直分工模式应运而生。一方面,垂直分 工模式使得 Fabless 投资规模较小,运行费用较低,因此涌现出了大量的优质的 芯片设计企业。另一方面,Foundry 能够最大化的利用产能,提高资本支出的收 益率。但垂直分工模式可能会因芯片设计和生产无法顺利协同,导致芯片从设计 到面市的时间过长,给芯片设计厂商造成损失。

1.2. 硅片制造

半导体设备主要应用在半导体产业链中的晶圆制造和封装测试环节。硅片制 造是半导体制造的第一大环节,硅片制造主要通过硅料提纯、拉晶、整型、切片、 研磨、刻蚀、抛光、清洗等工艺将硅料制造成硅片,然后提供给晶圆加工厂。

半导体工业中有两种常用方法生产单晶硅,即直拉单晶制造法(CZ 法)和悬 浮区熔法(FZ 法)。CZ 法是硅片制造常用的方法,它较 FZ 法有较多优点,例如 只有 CZ 法能够做出直径大于 200mm 的晶圆,并且它的价格较为便宜。CZ 法的 原理是将多晶硅硅料置于坩埚中,使用射频或电阻加热线圈加热熔化,待温度超 过硅的熔点温度后,将籽晶浸入、熔接、引晶、放肩、转肩等径等步骤,完成一根 单晶硅棒的拉制。

单晶生长炉是生产单晶硅的主要半导体设备。目前全球的单晶生长炉主要由美国 Kayex、德国 PVA TePla、日本 Ferrotec 等企业供应,国内的单晶生长炉企 业主要包括、南京晶能、连城数控等。

单晶硅棒完成后,还需要经过一系列加工才能得到硅片成品,主要涉及的半 导体设备有切片机、研磨机、湿法刻蚀机、清洗机、抛光机和量测机。目前上述硅 片加工设备主要由日本、德国和美国厂商提供,国内仅有晶盛机电等少数厂家推 出了部分硅片加工设备,市场占有率较低。

1.3. 晶圆制造

晶圆制造是半导体制造过程中最重要也是最复杂的环节,整个晶圆制造过程 包括数百道工艺流程,涉及数十种半导体设备。晶圆制造主要的工艺流程包括热 处理、光刻、刻蚀、离子注入、薄膜沉积、化学机械研磨和清洗。

1.3.1. 热处理工艺

热处理主要包括氧化、扩散和退火工艺。氧化是一种添加工艺,是将硅片放 入高温炉中,加入氧气与之反应,在晶圆表面形成二氧化硅。扩散是通过分子热 运动使物质由高浓度区移向低浓度区,利用扩散工艺可以在硅衬底中掺杂特定的 掺杂物,从而改变半导体的导电率,但与离子注入相比扩散掺杂不能独立控制掺 杂物浓度和结深,因此现在应用越来越少。退火是一种加热过程,通过加热使晶 圆产生特定的物理和化学变化,并在晶圆表面增加或移除少量物质。

热处理工艺使用的半导体设备为氧化扩散设备,其实质为高温炉。高温炉分 为直立式和水平式高温炉,高温炉主要包括五个基本组件:控制系统、工艺炉管、 气体输送系统、气体排放系统和装载系统。高温炉必须具有稳定性、均匀性、精确 的温度控制、低微粒污染、高生产率和可靠性。

氧化扩散设备主要由东京电子、科意半导体和应用材料供应,国内的氧化扩 散设备生产商主要包括北方华创和屹唐半导体。从长江存储的招标情况来看,氧 化扩散设备还是以国外厂商设备为主,国内厂商北方华创市占率逐年上升,截至 今年 10 月,从设备数量来看,北方华创热处理设备在长江存储的占比已经超过了 30%,屹唐半导体占比 1%。

1.3.2. 光刻工艺

光刻是将设计好的电路图从光刻版或倍缩光刻版转印到晶圆表面的光刻胶上, 便于后续通过刻蚀和离子注入等工艺实现设计电路,是晶圆制造中最重要的技术。光刻工艺包括三个核心流程:涂胶、对准和曝光以及光刻胶显影。整个光刻过程 需要经过八道工序:晶圆清洗、表面预处理、光刻胶自旋涂敷、软烘烤、对准、曝 光、曝光后烘烤、显影、坚膜烘烤和图形检测。

光刻工艺流程中最核心的半导体设备是光刻机,光刻机是半导体设备中技术 壁垒最高的设备,其研发难度大,价值量占晶圆制造设备中的 30%。目前全球的 高端光刻机由荷兰 ASML 公司垄断,ASML 是全球最大的光刻机生产商,是全球 唯一能够生产 EUV 光刻机的厂商,EUV 光刻机是先进制程工艺中的核心设备。中低端光刻机除 ASML 外,还有日本的 Canon 和 Nikon 可以供应。

目前国内具备光刻机生产能力的企业主要是上海微电子装备有限公司。上海 微电子装备(集团)股份有限公司(简称 SMEE)主要致力于半导体装备、泛半导体装备、高端智能装备的开发、设计、制造、销售及技术服务。公司设备广泛应用于集 成电路前道、先进封装、FPD 面板、MEMS、LED、Power Devices 等制造领域。

在集成电路领域,上海微电子产品主要包括光刻机和晶圆对准及缺陷检测设 备。公司的光刻机产品有 SSX600 和 SSB500 两个系列,其中 SSX600 系列主要 应用于 IC 前道光刻工艺,可满足 IC 前道制造 90nm、110nm、280nm 关键层和 非关键层的光刻工艺需求;SSB500 系列光刻机主要应用于 IC 后道先进封装工艺pp电子。

除上海微电子生产光刻机整机以外,国内还有华卓精科和国科精密从事光刻 机零部件的研发和生产。华卓精科以光刻机双工件台这一超精密机械领域的尖端 产品为核心,并以该产品的超精密测控技术为基础,开发了晶圆级键合设备、激 光退火设备等整机产品。国科精密致力于极大规模集成电路光刻投影光学、显微 光学、多光谱融合成像探测、超精密光机制造与检测等领域的高技术研究,同时 开展相应各类高端光学仪器与装备产品的研发工作,2016 年公司研发的我国首套 用于高端 IC 制造的 NA0.75 投影光刻机物镜系统顺利交付用户。

光刻工序所使用的半导体设备除了核心设备光刻机外,还需要涂胶显影设 备。涂胶显影设备是光刻工序中与光刻机配套使用的涂胶、烘烤及显影设备,

包括涂胶机、喷胶机和显影机,在 8 英寸及以上晶圆的大型生产线上,此类设 备一般都与光刻设备联机作业,组成配套的圆片处理与光刻生产线,与光刻机 配合完成精细的光刻工艺流程。全球的涂胶显影设备基本上被 TEL 垄断,国内 涂胶显影设备厂有沈阳和盛美股份。

1.3.3. 刻蚀工艺

刻蚀是通过移除晶圆表面材料,在晶圆上根据光刻图案进行微观雕刻,将图 形转移到晶圆表面的工艺。刻蚀分为湿法刻蚀和干法刻蚀,湿法刻蚀是利用化学 溶液溶解晶圆表面的材料,干法刻蚀使用气态化学刻蚀剂与材料产生反应来刻蚀 材料并形成可以从衬底上移除的挥发性副产品。由于等离子体产生促进化学反应 的自由基能显著增加化学反应的速率并加强化学刻蚀,等离子体同时也会造成晶 圆表面的离子轰击,故干法刻蚀一般都是采用等离子刻蚀。

集成电路芯片刻蚀工艺中包含多种材料的刻蚀,单晶硅刻蚀用于形成浅沟槽 隔离,多晶硅刻蚀用于界定栅和局部连线,氧化物刻蚀界定接触窗和金属层间接 触窗孔,金属刻蚀主要形成金属连线。

目前等离子刻蚀是晶圆制造中使用的主要刻蚀方法,电容性等离子刻蚀 (CCP)和电感性等离子刻蚀(ICP)是两种常用的等离子刻蚀方法。电容性等 离子体刻蚀主要是以高能离子在较硬的介质材料上,刻蚀高深宽比的深孔、深 沟等微观结构;而电感性等离子体刻蚀主要是以较低的离子能量和极均匀的离 子浓度刻蚀较软的和较薄的材料。

原子层刻蚀(ALE)是指通过一系列的自限制反应去除单个原子层,不会触及 和破坏底层以及周围材料的先进半导体生产工艺。原子层刻蚀可以实现精准的控 制,具有优秀的各向异性,是未来刻蚀工艺的发展方向。

刻蚀工艺使用的半导体设备为刻蚀机。全球刻蚀设备行业的主要企业即泛林 半导体(Lam Research),东京电子(TEL)和应用材料(AMAT)三家。从全球刻蚀设 备市场份额来看,三家企业的合计市场份额就占到了全球刻蚀设备市场的 90%以 上。其中泛林半导体独占 52%的市场份额,东京电子与应用材料分别占据 20%和 19%的市场份额

国内的刻蚀设备企业主要有、北方华创、屹唐半导体和中电科。其 中,中微公司、北方华创和屹唐半导体均以生产干法刻蚀设备为主,中电科除了 生产干法刻蚀设备以外还生产湿法刻蚀设备。除上述企业外,国内还有创世微纳、 芯源微和华林科纳等企业生产刻蚀设备。

国内刻蚀设备生产商中,中微公司在 CCP 刻蚀领域具备明显优势。在逻辑集成电路制造方面,公司的 CCP 刻蚀设备已经进入国际知名晶圆代工厂的先进 制程生产线,用于 7/5 纳米器件的生产。在 3D NAND 芯片制造方面,公司的 CCP 刻蚀设备技术可应用于 64 层的量产,同时公司根据存储器厂商的需求正在 开发 96 层及更先进的刻蚀设备和工艺。

北方华创主要覆盖 ICP 刻蚀设备,公司 ICP 刻蚀设备主要用于硅刻蚀和金 属材料的刻蚀,28nm 制程以上刻蚀设备已经实现产业化,在先进制程方面,公 司硅刻蚀设备已经突破 14nm 技术,进入上海集成电路研发中心,与客户共同 开展研发工作。

1.3.4. 离子注入工艺

离子注入是一种添加工艺,利用高能量带电离子束注入的形式,将掺杂原子 强行掺入半导体中,从而控制半导体的导电率。离子注入提供了比扩散过程更好 的掺杂工艺控制,例如在扩散工艺中掺杂物的浓度和结深无法独立控制,而在离 子注入中可以通过离子束电流和注入时间控制掺杂物浓度,通过离子的能量控制 掺杂物的结深,因此离子注入是目前半导体行业中的主要掺杂方法。

离子注入所使用的半导体设备为离子注入机,离子注入机是非常庞大的设备, 包括了气体系统、电机系统、真空系统、控制系统和最重要的射线系统。根据离子 束电流和束流能量范围,一般可以把离子注入机分为低能大束流离子注入机、高 能离子注入机和中低束离子注入机。

离子注入机可以应用在集成电路和光伏领域。在集成电路领域,全球的离子 注入机为应用材料所垄断,其市场占有率达到了 70%,其次为 Axcelis,占据了近 20%的市场份额。国内的离子注入机生产企业主要是凯世通和北京中科信, 2020 年 12 月凯世通宣布拟向芯成科技出售 3 款 12 英寸集成电路离子注入机,国产离子注入机迈出了关键一步。

1.3.5. 薄膜沉积工艺

薄膜沉积是一种添加工艺,是指利用化学方法或物理方法在晶圆表面沉积一 层电介质薄膜或金属薄膜,根据沉积方法可以分为化学气相沉积(CVD)和物理 气相沉积(PVD)。

CVD 是利用气态化学源材料在晶圆表面产生化学反应过程,在表面沉积一种 固态物作为薄膜层。CVD 广泛应用在晶圆制造的沉积工艺中,包括外延硅沉积、 多晶硅沉积、电介质薄膜沉积和金属薄膜沉积。常用的化学气相沉积工艺包括常 压化学气相沉积(APCVD)、低压化学气相沉积(LPCVD)和离子增强型化学气 相沉积(PECVD)。

APCVD 主要应用在二氧化硅和氮化硅的沉积,LPCVD 主要应用于多晶硅、 二氧化硅及氮化硅的沉积。PECVD 通过等离子产生的自由基来增加化学反应速 度,可以利用相对较低的温度达到较高的沉积速率,广泛应用于氧化硅、氮化硅、 低 k、ESL 和其他电介质薄膜沉积。

CVD 工艺使用的半导体设备是化学气相沉积设备,全球的化学气相沉积设备 市场主要由应用材料、泛林半导体和东京电子所垄断,CR3 为 70%。从 CVD 设备种类来看,PECVD、APCVD 和 LPCVD 三类 CVD 设备合计市场份额约占总市 场份额的 70%,仍旧是 CVD 设备市场的主流。

集成电路领域的国产 CVD 设备生产商主要有北方华创和沈阳拓荆。北方华创 主要生产 APCVD 设备和 LPCVD 设备,沈阳拓荆则以 PECVD 为主,根据中国 国际招标网数据,沈阳拓荆已有 3 台 PECVD 设备进入长江存储。

原子层沉积(ALD)是一种可以将物质以单原子膜形式一层一层的镀在基底 表面的方法。原子层沉积与普通的化学沉积有相似之处。但在原子层沉积过程中, 新一层原子膜的化学反应是直接与之前一层相关联的,这种方式使每次反应只沉 积一层原子。ALD 工艺可以更加精确控制薄膜的尺寸,对于 DRAM,3D NAND 和 逻辑 FinFET 制造中越来越重要,可能成为未来薄膜沉积的核心工艺。

目前 ALD 设备尚未在集成电路行业中大规模使用,应用材料、泛林半导体和 东京电子都已经推出了 ALD 设备,国内设备生产商在 ALD 设备方面也有布局。北方华创推出的 ALD 设备可以满足 28-14nm FinFET 和 3D NAND 原子层沉积工 艺要求,目前正处于验证阶段。沈阳拓荆在已通过生产验证的 PECVD 平台上自 主研发了原子层沉积设备,可应用于超大规模集成电路,OLED 及先进封装领域

物理气相沉积(PVD)是另一种重要的薄膜沉积工艺,PVD 是通过加热或溅 射过程将固态材料气态化,然后使蒸汽在衬底表面凝结形成固态薄膜,常用的PVD 工艺有蒸发工艺和溅镀工艺。

PVD 工艺使用的半导体设备为 PVD 设备,全球 PVD 设备市场基本上为应用 材料所垄断,其市场份额高达 85%,其次为 Evatec 和 Ulvac,市场份额分别为 6% 和 5%。

国内在集成电路领域的 PVD 生产商主要为北方华创。北方华创突破了溅射源设计技术、等离子产生与控制技术、颗粒控制技术、腔室设计与仿真模拟技术、软 件控制技术等多项关键技术,实现了国产集成电路领域高端薄膜制备设备零的突破,设备覆盖了 90-14nm 多个制程。根据公司官网消息,公司 PVD 设备被国内 先进集成电路芯片制造企业指定为 28nm 制程 Baseline 机台,并成功进入国际供 应链体系。

1.3.6. 化学机械研磨工艺

化学机械研磨(CMP)是一种移除工艺技术,该工艺结合化学反应和机械研 磨去除沉积的薄膜,使得晶圆表面更加平坦和光滑。CMP 技术有多种优势,例如 CMP 允许高解析度的光刻技术,可以减小过度曝光和显影的需求,允许更均匀的 薄膜沉积从而减小刻蚀的时间。

CMP 工艺使用的半导体设备是化学机械研磨机。常见的 CMP 系统包括研磨 衬垫、可以握住晶圆并使其表面向下接触研磨衬垫的自旋晶圆载具,以及一个研 磨浆输配器装置。

全球 CMP 设备市场主要由应用材料和荏原机械垄断,其中应用材料占据了 全球 70%的市场份额,荏原机械的市占率为 25%。国内 CMP 设备的主要研发生 产单位有华海清科和北京烁科精微电子装备有限公司,其中华海清科是目前国内 唯一实现 12 英寸系列 CMP 设备量产销售的半导体设备供应商,打破了国际厂商 的垄断,填补国内空白并实现进口替代。

1.3.7. 清洗

清洗是贯穿晶圆制造的重要工艺环节,用于去除晶圆制造中各工艺步骤中可 能存在的杂质,避免杂质影响芯片良率和芯片产品性能。目前,随着芯片制造工 艺先进程度的持续提升,对晶圆表面污染物的控制要求不断提高,每一步光刻、 刻蚀、沉积等重复性工序后,都需要一步清洗工序。清洗不仅应用于晶圆制造,在 硅片制造和封装测试过程中也必不可少。

在全球清洗设备市场,日本 DNS 公司占据 40%以上的市场份额,此外,TEL、 LAM 等也在行业占据了较高的市场份额,市场集中度较高。国内的清洗设备领域 主要有盛美半导体、北方华创、芯源微、。其中,盛美半导体主要产品为 集成电路领域的单片清洗设备;北方华创收购美国半导体设备生产商 Akrion Systems LLC 之后主要产品为单片及槽式清洗设备;芯源微产品主要应用于集成 电路制造领域的单片式刷洗领域;至纯科技具备生产 8-12 英寸高阶单晶圆湿法清 洗设备和槽式湿法清洗设备的相关技术。

1.4. 测试与封装

1.4.1. 测试

半导体测试贯穿了半导体整个产业链,芯片设计、晶圆制造以及最后的芯片 封装环节都需要进行相应的测试,以保证产品的良率。

芯片设计环节的测试主要是设计商使用测试机、探针台和分选机对晶圆样品 和芯片封装样品的功能和性能进行测试。晶圆制造环节的测试包括晶圆几何尺寸 与表面形貌的检测、成分结构分析以及电性测试。封装测试环节主要是通过分选 机和测试机对芯片的电性参数及性能等进行测试,以保证出厂后的芯片在性能和 寿命方面达到设计标准。

测试环节主要使用的半导体设备是测试机、分选机和探针台。测试机是检 测芯片功能和性能的专用设备,测试机对芯片施加输入信号,采集被检测芯片 的输出信号与预期值进行比较,判断芯片在不同工作条件下功能和性能的有效 性。

全球测试机市场被爱德万、泰瑞达和科休垄断,三者市场占有率分别为 50%, 40%和 8%。国内测试机生产商主要有。华峰测控和长川科技 专注于模拟测试机和数字模拟混合测试机,其中华峰测控在国内模拟测试机市占 率接近 60%。我国测试机市场中占市场主要份额的为存储测试机和 SOC 测试机, 市场份额分别为 43.8%和 23.5%。

探针台和分选机是将芯片的引脚与测试机的功能模块连接起来并实现批量自 动化测试的专用设备。探针台用于晶圆加工之后、封装工艺之前的 CP 测试环节, 负责晶圆的输送与定位,使晶圆上的晶粒依次与探针接触并逐个测试。分选机负 责将输入的芯片按照系统设计的取放方式运输到测试模块完成电路压测,在此步 骤内分选机依据测试结果对电路进行取舍和分类。

半导体探针台设备行业集中度较高,目前主要由东京精密、东京电子两家垄 断,两个公司共计占据全球约 70%的市场份额。台湾惠特、台湾旺矽等也占有较 大的市场份额,特别是在 LED 探针台领域具有优势。国内最大的探针台生产企业 是深圳矽电,长川科技、中电科 45 所也具备探针台生产能力。

分选机按照系统结构可以分为三大类别,即重力式分选机、转塔式分选机、 平移拾取和放置式分选机。全球分选机市场由爱德万、科休、爱普生三家企业所 垄断,国内的分选机生产商主要有长川科技。

1.4.2. 封装

封装是将芯片在基板上布局、固定及连接,并用可塑性绝缘介质灌封形成电 子产品的过程,目的是保护芯片免受损伤,保证芯片的散热性能,以及实现电能 和电信号的传输,确保系统正常工作。封装设备主要有切割减薄设备、引线机、键 合机、分选测试机等。

目前封装设备主要由国外企业垄断,全球封装设备主要由 ASM Pacific、K&S、 Shinkawa、Besi 等国外企业垄断,国内具备封装设备制造能力的企业主要有中电 科 45 所、艾科瑞斯和大连佳峰。

2. 产业格局不断变化,中国或将成为产业重心

2.1. 行业进入新一轮上升周期

半导体行业每一次进入上升周期都是由下游需求驱动。回顾半导体行业的发 展历史可以看出,每当下游出现技术升级或产品迭代时,市场对于半导体的需求 将进入上升周期。在 80 到 90 年代,家用电器的普及以及计算机在商业领域的渗 透推动了行业的成长;90 年代到本世纪初,家用电脑及笔记本电脑的普及带来了 行业成长的新动力;2013 年到 2018 年,智能手机和平板电脑等消费电子推动了 行业新一轮繁荣,但 2019 年消费电子的驱动已经出现乏力,半导体行业出现了短 暂的回落。

新的技术和产品将带来行业驱动力,半导体行业或将进入上升周期。5G、物 联网、大数据、人工智能以及汽车电子等新技术和新产品的应用,将带来庞大的 半导体市场需求,行业将进入新一轮的上升周期。根据 WSTS 预测,2020 年全 球半导体销售额将达 4330 亿美元,同比增长 5.9%,2021 年半导体销售额将达 4690 亿美元,同比增长 8.3%。我们预测 2022 和 2023 年半导体市场将继续增 长,2023 年全球市场规模将达到 5010 亿美元。

2.2. 全球产业转移,中国市场高速成长

半导体经历过两次大的产业转移。半导体产业于 20 世纪 60 年代发源于美国, 美国作为半导体发源地,在产品和技术方面一直保持着全球领先水平。第一次转移发生于 20 世纪 80 年代,美国将技术和利润较低的封测剥离,转移到日本地区, 日本借助美国的技术支持,逐步完善半导体产业,并在 PC 和家电等领域赶超, 造就了日本东芝和日本日立等知名企业。第二次是 20 世纪 90 年代,随着 PC 产 业升级,DRAM 技术不断提升,而日本由于经济危机无法支撑产业发展,韩国借 此机会对 DRAM 技术和产能不断投入,确立了其在 PC 半导体领域的地位。中国台湾把握住了美日半导体从 IDM 模式转向垂直分工模式的机会,大力发展了以台积电 为代表的晶圆代工产业,在产业链占据了重要的位置。

半导体产业正在进行第三次产业转移。中国是全球最大的半导体消费市场, 同时也是全球最大的半导体进口国,庞大的市场需求为半导体产业发展提供了前 提。2010 年以来,中国一方面凭借低劳动力成本的优势,一方面不断引进半导体 产业先进技术,同时加大半导体产业人才培养,逐步承接了半导体低端封测和晶 圆制造业务,完成了半导体产业的原始积累。随着全球电子化进程的开展,下游 产业快速发展,不断推动中国半导体产业持续兴旺。

2019 年我国半导体销售额约占全球市场的 35%。在过去十年的半导体景气 周期中,以手机为主的消费电子成为半导体行业发展的主要驱动因素,中国在经 济高速发展和巨大的人口基数作用下,成为全球第一大消费电子市场。据全球半 导体贸易统计组织数据,2014~2019 年中国占全球半导体消费市场的份额逐年提 升中国,2019 年半导体销售额达到 1441 亿美元,占全球市场份额的 35%。随着 5G、汽车电子等下游应用在中国迅速兴起,中国将有望成为全球半导体市场的重 心。

中国半导体市场仍旧存在供需错配。虽然中国已经成为全球最大的半导体消 费国,但中国的半导体生产能力还远远不能匹配中国市场的巨大需求,晶圆产能 仍旧有待提升。当前半导体产业仍旧由外资主导,无论是半导体设计还是半导体 制造,中国企业的市占率仍旧很低。从晶圆制造产能来看,全球 TOP5 晶圆制造 商均为外资企业,占据了全球超过 50%的产能份额。

中国大陆封测产业已经具备一定实力。中国凭借低廉的劳动力,首先承接了 对劳动力需求较大技术要求较低的半导体封测业务。目前,中国大陆封测环节在 全球已经具备一定的竞争力,根据拓墣产业研究院数据,2020 年第三季度全球前 十大封测企业中,中国大陆企业分别位列 3、6、 7 名。

2020 年我国芯片设计行业销售额首次突破 500 亿美元。2020 年虽然行业受 到了新冠疫情的影响,但我国芯片设计行业仍旧保持了较快的增长态势,2020 年 全行业设计企业数量为 2218 家,同比增长 24.6%。从销售收入来看,全行业销售预计为 3819.4 亿元,同比增长 23.8%,按照美元与人民币 1:6.8 的兑换率,全年 销售约为 561.7 亿美元,首次超过 500 亿美元。

我国晶圆代工发展迅速,和华宏半导体已进入全球前十。我国封测 行业逐渐进入成熟阶段,晶圆代工正在快速崛起,涌现出了中芯国际和华宏半导 体等具备发展潜力的晶圆代工企业。根据拓墣产业研究院最新预测,2020 第四季 度全球晶圆代工营收排行中,中芯国际和华宏半导体分别位列第 5 名和第 9 名。同时,我国正在寻求 IC 制造方面的突破,中国大陆正迎来投资建厂热潮,这将为 半导体设备带来广阔的市场空间。

半导体设备对于行业发展至关重要。当前我国半导体设备依旧高度依赖于海 外企业,并且在核心技术和零部件上受到一定的限制。半导体设备涉及数学、物 理、化学、光学、力学等多个基础学科,技术壁垒高,研发难度大周期长,是整个 产业中最关键的环节之一。半导体设备直接关系芯片设计能否落成实物,产品可 靠性和良率能否达到设计标准,国内行业是否能够参与全球竞争。因此要实现我 国半导体产业链的自主可控,半导体设备至关重要。

3. 半导体设备市场再创新高,国产化替代空间广阔

3.1. 全球半导体设备市场或超 710 亿美元

根据 SEMI 最新预测,2021 年全球半导体设备需求将超过 710 亿美元。半导 体设备位于产业链的上游,其市场规模随着下游半导体的技术发展和市场需求而 波动。2013-2018 年,在智能手机和消费电子快速发展的推动下,半导体设备进 入了一个持续上升的行业周期,市场规模从 317.9亿美元增长到了 645.3 亿美元, 5 年 GACR 为 15%。而 2019 年全球半导体设备支出为 597.5 亿美元,同比下降 7.4%,增长势头稍有回落。根据 SEMI 预测,2020 年全球半导体设备市场规模达 创纪录的 689 亿美元,同比增长 16%,2021 年将达 719 亿美元,同比增长 4.4%, 2022 年仍旧保持增长态势,市场将达 761 亿美元,同比增长 5.8%。

前端和后端半导体设备都将持续增长。 根据 SEMI 数据,晶圆制造设备预计 2020 年将增长 15%达到 594 亿美元, 2021 年和 2022 年分别增长 4%和 6%。代工和逻辑业务约占晶圆制造设备销售总额的一半,由于先进制程的投资,今年 的支出将增长 15%左右,达到 300 亿美元。存储方面,NAND 制造设备支出今年 将增长 30%,超过 140 亿美元,而 DRAM 有望在 2021 年和 2022 年引领增长。封装设备方面,2020 年市场规模将增长 20%,达到 35 亿美元,在先进封装应用 的推动下,到 2021 年和 2022 年分别增长 8%和 5%。半导体测试设备销售额 2020 年预计增长 20%,达到 60 亿美元,随着对 5G 和高性能计算应用的需求的 提升,半导体测试设备在 2021 年和 2022 年将持续保持增长态势。

分地区来看,2020 年中国大陆已成为全球最大的半导体设备市场。中国大陆 是近年来半导体设备市场唯一保持持续增长的地区,市场规模在全球的占比逐年提升。2016-2019 年,中国大陆的半导体设备市场规模从 64.6 亿美元增长到了 134.5 亿美元,3 年 CACR 达 28%,在全球市场中的占比由 15.7%提升至 22.5%。随着中国大陆在 IC 和储存领域的强劲支出,SEMI 预计 2020 年中国大陆半导体 设备市场规模将达 181 亿美元,同比增长 34.6%,成为全球最大的半导体设备市 场。我们认为在国家政策和资金支持下,2021 和 2022 年中国大陆的半导体设备 支出将持续保持高位,市场规模将保持在 180 亿美元。

3.2. 细分市场高度集中,海外龙头处于垄断地位

全球半导体市场集中度高,各细分市场均被龙头企业所垄断。半导体设备技 术壁垒高,研发周期长难度大,故半导体设备市场份额集中在少数企业。2018 年 全球半导体设备市场 CR5 为 65.1%,CR10 为 76.3%。2019 年市场集中度进一 步提升,CR5 高达 76.5%,CR10 高达 91.3%。

全球半导体设备厂商主要集中在美国、日本和荷兰。美国的半导体设备厂商 主要有应用材料、泛林半导体、科磊和泰瑞达,覆盖的设备主要包括晶圆制造和 封测环节的刻蚀设备、离子注入机、薄膜沉积设备、掩膜版制造设备、检测设备、 测试设备、清洗设备等。日本的半导体设备厂商主要包括东京电子、DNS、爱德 万和日立高新,主要覆盖的设备包括刻蚀设备、薄膜沉积设备、清洗设备、热处理 设备、涂胶机/显影机、退火设备、检测设备、测试设备等,另外尼康和佳能两家 日本公司还可以供应中低端光刻机。荷兰的半导体设备厂为阿斯麦,阿斯麦作为 全球光刻机龙头,垄断了高端光刻机市场,并且在中低端市场也占据相当份额。

半导体设备投资中晶圆加工设备占比达 80%。半导体设备在新建的晶圆厂资 本支出中占比为 80%,而在半导体设备中晶圆加工设备占比为 80%,为最主要的 资本支出项目,封装测试设备占比 15%,其余设备占比 5%。根据前瞻产业研究 院的数据,在晶圆加工设备中,刻蚀机投资占比最高达 30%,其次是薄膜沉积设 备占比 25%,光刻机占比 23%,其余设备合计占比 22%。在各细分领域中,我国 半导体设备企业具备竞争力的设备主要包括刻蚀设备、薄膜沉积设备及清洗设备。

光刻机市场由荷兰 ASML 所垄断。光刻机是晶圆加工设备中技术壁垒最高的 设备,该市场为荷兰企业ASML(阿斯麦)所垄断。全球光刻机生产商主要有ASML、 尼康和佳能,其中 ASML 具有绝对的垄断地位。pp电子

阿斯麦主要从事半导体光刻设备的设计、制造及销售。阿斯麦总部位于荷兰 Veldhoven,业务范围遍及全球,生产与研发单位则分别位于美国康乃狄克州、加州,中国台湾以及荷兰。阿斯麦是全球唯一的 EUV 光刻机生产商,2019 年阿斯麦实 现营业收入 132.4 亿美元,同比增长 5.8%。近三年先进制程逻辑芯片及存储芯片 等下游需求激增,为 ASML 的高端光刻机带来了广阔的市场空间,近三年公司营 收 CAGR 达 22.7%。

公司的垄断地位为公司带来了较高的毛利率和净利率。公司在光刻机行业的 独特的垄断地位为公司带来了较高的且稳定的毛利率和净利率。2010-2019 年公 司毛利率始终稳定在 40%~45%,净利率稳定在 20%~25%之间,具备优秀的盈利 能力。

阿斯麦始终保持持续的高研发投入。光刻机研发涉及数学、物理、化学等基 础学科,以及机械、电气、自动化、计算机等工程学科,研发难度大,需要大量的 持续的研发支出。AMSL 研发费用跟随营业收入逐年提升,研发费用率常年保持 在 10%以上,这是 ASML 能够持续垄断高端光刻机,并不断满足下游客户需求的 重要原因之一。2019 年,ASML 研发费用达到了 22 亿美元。

阿斯麦于 2000 年在上海成立分公司,于上海、北京、天津、大连、武汉等地 设有客户支持办公室,在深圳设有计算光刻软件研发中心,在北京有量测设备研 发中心。

泛林半导体是全球刻蚀设备龙头,市占率超过 50%。泛林半导体(Lam Research)公司成立于 1980 年,总部位于美国加利福尼亚州福利蒙特。公司产 品主要覆盖等离子蚀刻、薄膜沉积设备、清洗设备等半导体设备。公司在等离子 刻蚀设备领域处于龙头地位

随着先进制程和 3D NAND 的推进,晶圆加工对于刻蚀工艺的需求明显增多。作为刻蚀龙头,泛林半导体受益显著,2019 年前营收保持高速增长。2019 年全 球半导体市场短暂下行,公司营收也出现了下滑,2020 年重回增长轨道。2020 年 公司全年实现营收 100.4 亿美元,同比增长 4%。公司在刻蚀设备方面持续保持领 先,公司于 2014 年就已经开发出了具备 ALE 功能的 Flex 系列刻蚀机。

应用材料是平台型半导体设备龙头。应用材料(AMAT)是全球最大的半导体 和显示器面板研发、制造和服务公司。应用材料股份有限公司成立于 1967 年,总部位于美国加利福尼亚州圣克拉拉。半导体设备为公司主要营收来源,在半导体 设备领域,公司覆盖了薄膜沉积设备、刻蚀设备、离子注入设备、CMP 设备以及 检测设备等设备,是全球最大的半导体设备厂商,公司在薄膜沉积设备和离子注 入设备领域具有垄断地位。

2020 年公司营业收入高达 172 亿美元。受益于 2020 年全球晶圆加工资本支 出上升,作为半导体设备龙头的应用材料实现营业收入 172 亿美元,同比增长 17.8%,其中来自于半导体设备业务的营收为 113.7 亿美元,同比增长了 25.9%。

3.3. 国内半导体设备市场将超千亿,国产替代空间广阔

2020 年国内半导体设备市场规模预计达 181 亿美元,同比增长 34.6%。随 着 PC 和消费电子在国内的市场不断扩大,对于集成电路的旺盛需求带来了国内 对于集成电路产业的持续投资。自 2013 年以来国内的半导体设备市场规模不断 增长,2013 年国内半导体设备市场规模 33.7 亿美元,根据 SEMI 预测,2020 年 市场规模预计达 181 亿美元,七年 CAGR 达 27%。在 2019 年全球半导体资本支 出低迷的情况下,国内半导体设备支出仍旧保持了增长态势,市场规模达 134.5 亿美元。同比增长 2.5%。我们认为在国家政策和资金支持下,2021 和 2022 年中 国大陆的半导体设备支出将持续保持高位,市场规模将保持在 180 亿美元。

2019 年国产半导体设备销售额为 161.82 亿元,同比增长 30%。其中集成电 路设备销售额为 71.29 亿元,同比增长 55.5%。而中国大陆 2019 年半导体设备 市场规模 134.5 亿美元,国产化率约 17%,具备较大国产替代空间。

3.4. 政策资金双轮驱动,助力半导体设备国产化

国家政策大力支持半导体设备行业发展,国产替代进程加快。自“02 专项” 以来,国家发布了一系列政策支持我国半导体行业的发展。国家先后出台了《国 家集成电路产业发展推进纲要》,《关于进一步鼓励软件产业和集成电路产业发展 的若干政策的通知》等多方面为半导体产业政策给予扶持。

《新时期促进集成电路产业和软件产业高质量发展的若干政策》出台,进一 步大力支持国产半导体产业发展。在半导体国产化需求迫切的情况下,2020 年 8 月国务院发布《新时期促进集成电路产业和软件产业高质量发展的若干政策》,从 财税、融资、人才、市场等多个维度支持国内半导体相关企业的发展。

国家大基金深度参与半导体设备行业。2014 年国家设立了国家集成电路产业 投资基金,2018 年国家集成电路产业投资基金一期经投资完毕,总投资额为 1387 亿元,公开投资公司为 23 家,未公开投资公司为 29 家,累计有效投资项目达到 70 个左右,投资范围涵盖集成电路产业上、下游各个环节。大基金一期投资项目 中,集成电路制造占 67%,设计占 17%,封测占 10%,装备材料类占 6%。

大基金二期将继续支持国产半导体设备发展。2019 年国家集成电路产业基金 二期成立,投资布局及规划方向主要有三点:第一,支持龙头企业做大做强,提升 成线能力;第二,产业聚集,抱团发展,组团出海;第三,续推进国产装备材料的 下游应用。

在半导体设备方面,首期基金主要完成产业布局,二期基金将对在刻蚀机、 薄膜设备、测试设备和清洗设备等领域已布局的企业保持高强度的持续支持,推动龙头企业做大最强,形成系列化、成套化装备产品。加快开展光刻机、化学机械 研磨设备等核心设备以及关键零部件的投资布局,保障产业链安全。充分发挥基 金在全产业链布局的优势,持续推进装备与集成电路制造、封测企业的协同,加 强基金所投企业间的上下游结合,加速装备从验证到“批量采购”的过程,为本土 装备材料企业争取更多的市场机会。督促制造企业提高国产装备验证及采购比例, 为更多国产设备材料提供工艺验证条件,扩大采购规模。

重点企业分析(详见原报告)

北方华创:平台型国产半导体设备龙头

中微公司:国产刻蚀设备的先行者

华峰测控:深耕测试机领域的国产设备商

风险提示

下游晶圆厂资本支出不及预期。半导体行业具备一定的周期性,下游晶圆厂 的资本支出可能随行业周期波动而发生变化,进而影响晶圆厂的设备投资计划, 对半导体设备行业公司业务造成影响。

研发进度不及预期。先进制程半导体设备技术壁垒较高,技术突破难度较大, 研发周期较长,国产半导体设备公司先进制程设备在短期内可能无法实现产业化。

美国加强技术封锁。美国如果进一步加强对半导体技术和设备的封锁,可能 导致国内晶圆厂业绩下滑,进而投资放缓,导致设备采购延期,对国产半导体设 备公司业务产生不利影响。

(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)

免责声明:自媒体综合提供的内容均源自自媒体,版权归原作者所有,转载请联系原作者并获许可。文章观点仅代表作者本人,不代表新浪立场。若内容涉及投资建议,仅供参考勿作为投资依据。投资有风险,入市需谨慎。


0717-6850363